xddf test

git-svn-id: https://svn.apache.org/repos/asf/poi/trunk@1910459 13f79535-47bb-0310-9956-ffa450edef68
This commit is contained in:
PJ Fanning 2023-06-16 19:05:58 +00:00
parent 5cafcdc83e
commit 3bf4276117
3 changed files with 33 additions and 0 deletions

View File

@ -47,6 +47,36 @@ class TestXDDFChart {
assertNotNull(xddfChart.getCTPlotArea());
}
@Test
void testSetMajorUnit() {
// minimal test to cause ooxml-lite to include all the classes in poi-ooxml-lite
XDDFChart xddfChart = newXDDFChart();
XDDFValueAxis xAxis = xddfChart.createValueAxis(AxisPosition.BOTTOM);
XDDFValueAxis yAxis = xddfChart.createValueAxis(AxisPosition.LEFT);
assertNotNull(xAxis);
assertNotNull(yAxis);
xAxis.setTitle("Seconds Into Run");
final double xAxisMajorUnits = 300.0;
xAxis.setMajorUnit(xAxisMajorUnits);
assertEquals(xAxisMajorUnits, xAxis.getMajorUnit());
final double yAxisMajorUnits = 100.0;
yAxis.setMinorUnit(yAxisMajorUnits);
assertEquals(yAxisMajorUnits, yAxis.getMinorUnit());
xAxis.setOrientation(AxisOrientation.MAX_MIN);
assertEquals(AxisOrientation.MAX_MIN, xAxis.getOrientation());
yAxis.setOrientation(AxisOrientation.MIN_MAX);
assertEquals(AxisOrientation.MIN_MAX, yAxis.getOrientation());
xAxis.setCrosses(AxisCrosses.AUTO_ZERO);
assertEquals(AxisCrosses.AUTO_ZERO, xAxis.getCrosses());
yAxis.setCrossBetween(AxisCrossBetween.BETWEEN);
assertEquals(AxisCrossBetween.BETWEEN, yAxis.getCrosses());
}
@Test
void testSetExternalId() {
XDDFChart xddfChart = newXDDFChart();

View File

@ -2523,3 +2523,5 @@ org/openxmlformats/schemas/wordprocessingml/x2006/main/impl/CTSdtContentRowImpl
org/openxmlformats/schemas/wordprocessingml/x2006/main/CTSdtContentRow
org/openxmlformats/schemas/drawingml/x2006/main/CTComplementTransform
org/openxmlformats/schemas/drawingml/x2006/main/CTPositiveFixedAngle
org/openxmlformats/schemas/drawingml/x2006/chart/impl/STAxisUnitImpl
org/openxmlformats/schemas/drawingml/x2006/chart/STAxisUnit

View File

@ -1173,3 +1173,4 @@ ctbubblechart3ff4type
ctbubblesere172type
ctdlblpos9ce4type
stdlblpos1cf4type
staxisunit6cc7type